Современная электроника №4/2023

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ 8 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА • № 4 / 2023 Сравнение систем цифрового моделирования электронной аппаратуры Это вторая статья из анонсированной нами серии статей по работе с отечественной системой цифрового моделирования , в которой она сравнивается с системами - аналогами по функциональности и быстродействию . Никита Малышев , Аркадий Поляков Предисловие Уважаемые читатели , коллеги . Это вторая статья из серии статей по рабо - те с отечественной системой цифрово - го моделирования , в которой сравнива - ется её работа с системами - аналогами . Введение Под системами цифрового модели - рования ( СЦМОД ) в статье подразу - меваются системы проектирования , реализующие стандартные языки опи - сания аппаратуры VHDL и Verilog/ SystemVerilog [1]. Они являются важ - ной частью систем автоматизации проектирования интегральных схем ( СБИС ) и программируемых инте - гральных схем ( ПЛИС ) [2]. Основная масса СЦМОД создана фирмами США . Разработка таких систем является весь - ма сложной задачей . Например , трудо - ёмкость создания САПР ПЛИС Vivado, одной из компонентов которой явля - ется СЦМОД Xsim, оценивается в 1000 человеко - лет , а стоимость разработ - ки САПР в 200 миллионов долларов [3]. Созданный российской компанией ЭРЕМЕКС САПР Delta Design [2, 4] с включённой в неё СЦМОД Simtera [5, 6] относится к первым отечествен - ным ласточкам в области импортоза - мещения в данной области . Сравнение систем цифрового моделирования Сравнение характеристик СЦМОД в некотором смысле подобно поиску ответа на вопросы типа « кто сильнее – кит или слон ?». Но всё же оно позво - ляет дать определённые рекомендации пользователям , определить тенденции развития и показать плюсы и минусы конкретных продуктов . Конечно , воз - никает вопрос : « А судьи кто ?» В своё время один из авторов данной статьи работал в Силиконовой долине США в фирме SEVA, основателями кото - рой были председатель комитета по разработке языка VHDL Ларри Саун - дерс (Larry Saunders) и один из первых авторов пособия по языку Verilog Ятин Триведи (Yatin Trivedi). Ясно , что столь авторитетным представителям фир - мы  – разработчика СЦМОД и САПР доверяли и присылали новые версии своих систем для тестирования и срав - нения с конкурентами . Не имея таких возможностей , авторы были вынужде - ны из зарубежных систем моделиро - вания использовать только их не под - санкционные и бесплатные версии . Пробные (evaluation) версии HDL- симуляторов , как и открытые типа IcarusVerilog, Verilator, GHDL, Tina и др . [1, 7], а также онлайн - симулято - ры , в статье не рассматриваются . Критические оценки систем можно разделить на качественные : просто - та освоения и использования , нали - чие поддержки пользователей , в том числе онлайн . И количественные : требования к компьютеру и ОС , вре - мя действия лицензии , объём скачи - ваемого установочного файла , число поддерживаемых языков проектиро - вания , доступность версии ПО под различные ОС , скорость моделирова - ния и компиляции , полнота соответ - ствия стандартам языков моделирова - ния , количество библиотек и IP-core, включая поддержку стандарта VITAL, наличие и текстового , и графического способа описания модели , виды допол - нительных сервисов типа конверторы графика - текст и наоборот . А также : гра - фический способ задания временн ы́ х диаграмм входных сигналов , графи - ческое описание автоматов , системы оценки полноты покрытий кода , про - филировщики и др . Что касается качественных критери - ев – то они важны в первую очередь при использовании СЦМОД в учебных целях . Это понятие включает устойчи - вость системы к ошибкам пользовате - лей и сбоям компьютеров , развитую , полную и точную диагностику ошибок и простоту их исправления . В табл . 1 представлены САПР , в которых реализованы СЦМОД , ОС и минимальные требования к пара - метрам компьютеров . В табл . 2 пред - ставлены поддерживаемые СЦМОД версии HDL. Что касается скорости компиляции Verilog- кода , то сравнительный анализ на группе тестов IVLTest (Icarus Verilog) в сравнении с ModelSim Pro 2020 при - ведён на рис . 1. Среднее время разбо - ра и компиляции в Simtera – 695 мс , в Modelsim Pro 2020 – 841 мс . СЦМОД * Разработчик САПР Срок лицензии Мин . ОЗУ , ГБ Объём скачиваемого файла , ГБ Active-HDL Student edition v.13 Aldec Нет привязки / в составе САПР ПЛИС Не ограничен / 1 год ( в составе САПР ПЛИС ) 4 1 Modelsim Mentor Graphics (Siemens) Нет привязки / в составе САПР ПЛИС Не ограничен / 1 год ( в составе САПР ПЛИС ) 4 1 Xilinx Simulator Xilinx (AMD) Vivado Не ограничен 8 8 Delta Design Simtera Eremex Нет привязки / в составе САПР Delta Design Бесплатно / 60 дней 8 0,4 Таблица 1. Сравнительный анализ САПР , доступных для использования

RkJQdWJsaXNoZXIy MTQ4NjUy