Современная электроника №4/2023

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ 9 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА • № 4 / 2023 Для тестирования скорости работы симулятора известно несколько набо - ров (benchmarks), рекомендуемых для СЦМОД [4], но мы использовали свои . Обосновано это практическими целя - ми . В качестве тестовых задач были использованы Verilog- модели версии синтезабельной поведенческой моде - ли простого АЛУ - микросхемы 74181 ( ИП 4). Модель содержит 240  строк HDL- кода . Тестбенч – 102 строки . Для возможности измерения време - ни моделирования секундомером в тестбенче организован цикл из 1 млн повторений выполнения трёх опера - ций в АЛУ . На XSIM и Modelsim вре - мя прогона теста примерно одинако - вое – 30 секунд , на Active-HDL оно было в 5 раз больше и равно 150 секундам . У Simtera при 100 повторениях было 15  секунд , т . е . система моделирова - ния работает на несколько порядков медленнее . Тестирование проводи - лось на версии Delta Design Simtera от декабря 2022. В данной версии отсут - ствует разбиение независимых Verilog- процессов на отдельные потоки . Если посмотреть на часть запускаемого теста ( листинг теста доступен в электронной версии статьи на сайте журнала ), она состоит из 69 блоков assign, которые могут обрабатываться параллельно , что значительно ускорит работу систе - мы . Данный функционал в настоящее время в разработке , об успехах ускоре - ния работы моделирования сообщим в отдельной статье по завершении работ . В табл . 3 представлены некоторые дополнительные параметры HDL- симуляторов , связанные с графиче - скими средствами , оценкой полноты покрытия кода тестами и методиче - ским обеспечением . С точки зрения тестирования и рабо - ты с VHDL- проектами в Simtera дела обстоят следующим образом : срав - нение производилось с ModelSim – Altera 10.1 и Aldec Active-HDL 8.2 Expert Edition. Быстродействие проверялось на тесте , симулирующем запуск про - Таблица 2. Поддерживаемые СЦМОД версии HDL Рис . 1. Время компиляции Verilog- проектов в Simtera и Modelsim СЦМОД * Поддерживаемые версии HDL Библиотеки ПЛИС Время запуска системы , секунды * Скорость компиляции Verilog- проектов , относительные единицы Скорость моделирования Verilog- проектов , относительные единицы Active-HDL Student edition v.13 VHDL 1987, 1993 Verilog 1995, 2005 SystemVerilog 2009, 2017 Xilinx, Intel и др 15 1 0,2 Modelsim Microsemi 10.2 VHDL 1987–2008 Verilog 2001 SystemVerilog 2005-2012 Microsemi 15 1 1 Xilinx Simulator (XSIM) VHDL 1987–2003 Verilog 2001 Xilinx 30 1 1 Delta Design Simtera VHDL 1987–2008 Verilog 2001 SystemVerilog 2005 Миландр , Intel, Xilinx 30 1 0,0002 * В качестве инструментальной машины при сравнении СЦМОД был использован компьютер с процессором Intel Pentium G4400 с тактовой частотой 3,30 ГГц , оперативной памятью 8 ГБ , 64- разрядной операционной системой Windows 10. Таблица 3. Дополнительные параметры HDL- симуляторов , связанные с графическими средствами , оценкой полноты покрытия кода тестами и методическим обеспечением СЦМОД Графическое ( схемотехническое ) описание модели Графическое описание автоматов Статистика покрытия тестом кода Графическое описание временных диаграмм входных сигналов Обеспеченность русскоязычными методическими материалами Active-HDL Student Edition V.13 Есть , в модели – до 30 блоков Есть Нет Есть > 10 Мо delsim ME 10.2 Нет Нет Нет Нет > 10 Vivado XSIM Есть Есть Нет Есть > 10 DeltaDesignSimtera v.3.5 Есть Нет Нет Нет < 10

RkJQdWJsaXNoZXIy MTQ4NjUy