Современная электроника №1/2021

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ 61 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2021 автоматическое созданиешаблона циф- рового компонента по пинам условно- графического обозначения. Разработ- чику достаточно лишь задать логику работы изделия. Сделать это доволь- но просто. Например, для компонента логического «2И» необходимо выходно- му порту поставить в соответствие выра- жение «Выход = ВХОД1 ANDВХОД2», где AND– операция конъюнкции (см. рис.1). В системе также предусмотрены гото- вые библиотеки цифрового моделиро- вания для большого числа часто исполь- зуемых компонентов, таких как логика, RAM-, ROM-память, сумматоры, счётчи- ки, мультиплексоры, демультиплексоры и пр. Готовые модели можно использо- вать при создании собственных ком- понентов, руководствуясь описанием работы цифровой части из предостав- ляемых пользователям библиотек. После создания компонентов «2И-НЕ» и «3И-НЕ» можно перейти к работе над принципиальной схемой. Проектиро- вание схемыпроисходит в схемотехни- ческомредакторе, знакомомипонятном всеминженерам-схемотехникам. После создания электрической схемымодели- рование происходит в два этапа, кото- рые выполняютсянажатиемдвухкнопок: «ГенерироватьHDL-нетлист» и «Запустить моделирование» в графической части системы моделирования. При нажатии первой кнопки происходит создание netlist (списка соединений) цифрово- го описания в соответствии со схемой. При нажатии второй кнопки запускает- ся непосредственномоделирование. На рисунках 2–3 представлены соот- ветственно схема в графическом виде и полученный из неё netlist на языке опи- сания аппаратуры, полученныйблагода- ря наличию у каждого из компонентов цифровоймодели. Нарисунке4показаны осциллограммы, полученные врезультате моделированияцифровойчастиизделия. Генерация HDL-проекта (netlist цифрового проекта) представляется в явном виде в системе неслучайно. HDL-проект можно далее использо- вать в качестве проекта по прототи- пированию изделия в режиме реаль- ного времени. HDL-проект описывает работу изделия, и для того, чтобы пере- йти к прототипированию разрабаты- ваемого узла, можно воспользовать- ся программируемой логической интегральной схемой. ПЛИС – инте- гральная микросхема, задать желае- мую структуру работы которой мож- но воспользовавшись языками HDL. Именно они и используются в систе- ме цифрового моделирования Delta Design Simtera. Для работы с ПЛИС в системе предусмотрен синтезатор – инструмент, позволяющий переводить Рис. 2. Проект, созданный в схемотехническом редакторе Рис. 3. Сгенерированный по схеме HDL-проект

RkJQdWJsaXNoZXIy MTQ4NjUy