Современная электроника №1/2021

ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ 60 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА ◆ № 1 2021 Цифровое моделирование цифровых и цифро-аналоговых узлов в системе Delta Design Simtera При проектировании радиоэлектронных устройств разработчикам электроники нередко приходится решать задачи по моделированию их аналоговых, цифровых, цифро-аналоговых частей. И если при решении вопросов аналогового моделирования инженеры привыкли к использованию SPICE-симуляторов, то при моделировании цифровых и цифро-аналоговых частей не всё так однозначно. Компания ЭРЕМЕКС даёт возможность инженерам-проектировщикам повысить качество и скорость разработки с помощью системы Delta Design Simtera, основанной на принципах HDL-моделирования. Никита Малышев (malyshev.n@eremex.ru) HDL-языки, илиязыкиописания аппа- ратуры, широкоиспользуются с концаXX века для цифрового моделирования, а также известны как языки, описываю- щие логику конфигурации программи- руемых логическихинтегральных схем. Преимущество языков описания аппара- туры заключается в их модульности, то есть в разбиении сложных проектов на более простые с последующимих объе- динениемв единыйпроект. Модульность также позволяет быстро выявитьииспра- вить обнаруженные ошибки. Используя все эти преимущества, инженер-проек- тировщик может не только верифици- ровать цифровую часть устройства, но и создать прототип цифрового устрой- ства для реализации его вПЛИС с после- дующейпроверкой егоработоспособно- сти ифункциональности. Возможностиинженерапомоделиро- ваниюиверификациицифровыхчастей электроникизначительноувеличиваются при использовании модуля Delta Design Simtera. Помимоцифровогомоделирова- ния сиспользованиемHDL-языков, унего появляется возможностьпрототипирова- ния изделия в режиме реального време- ни. Приэтомразработчикунеобходимо лишь один раз создать библиотеку ком- понентов сцифровымимоделямиилиже воспользоваться готовой. Гораздо удобнее, когда в системе про- ектирования уже заложены инструмен- ты, способные решить данные задачи без дополнительных операций экспор- та/импорта изделия в сторонние систе- мы. Приэтомнеобходимопонимать, что при переносе проектов данные могут быть потеряны, некорректно загруже- ныилиинтерпретированы, илижемогут отсутствовать модели для проведения верификации. Всех этих недостатков лишена система, изначально имеющая возможностицифровогоианалогового моделирования. Если говорить оцифро- вом моделировании, то именно модуль Delta Design Simtera решает вопросы по моделированию и верификации циф- ровойчастиизделийвСАПРпроектиро- вания Delta Design. Для моделирования разработчику необходимо лишь однаж- ды позаботиться о наполнении цифро- вой модели компонентов при создании библиотеки. То есть совместно с создани- ем УГО (условно-графического обозна- чения) ипосадочногоместа стоит доба- вить цифровуюмодель компонентаине беспокоиться о дальнейшемнаполнении библиотеки. Введённой информации будет достаточно для проектирования изделий с возможностью их цифрово- гомоделированияиполучения докумен- тации для производства печатных плат. Рассмотрим работу системы на при- мере создания JK-триггера [1], состоя- щего из логических элементов. Промо- делируем работу устройства, а также создадим его прототип на ПЛИС, рабо- тающий в режиме реального времени. В примере не будем учитывать и соз- давать посадочные места для логиче- ских элементов, так как данная статья ставит целью описание работы имен- но цифрового моделирования. Созда- ние же посадочных мест компонента требует отдельного рассмотрения [2]. Описание цифровой модели компо- нента возможно с помощьюязыков опи- сания аппаратуры, таких как Verilog и VHDL. В библиотеке уже предусмотрено Рис. 1. Создание цифровой модели компонента по условно-графическому обозначению и описание его функциональной части

RkJQdWJsaXNoZXIy MTQ4NjUy